vivado中差分对与单端信号的转换

天锦 发表于 某的代码片段 分类,标签:
具体如何设置差分对在7SeriesFPGA&ZYNQ-7000AllProgrammableSoCLibraryGuideforHDLDesign(UG768)和7SeriesFPGASelectIOResource(UG471)文档里面给出了HDL文件进行管脚分配的办法:用OBUFDS原语(Primitive)可以进行单端转差分输出,其实使用该原语就是在FPGAIOBank使用了一个LVDS发送器。对应的,用IBUFDS原语可以进行差分信号的接收,该原语在IOBank使用了一个LVDS接收器。在Vivado环境中FlowNavigator---PROJECTMANAGER---LanguageTemplates可以找到,上述原语分别如下:OBUFDSOBUFDS #(    ...